CG数据库 >> Altera Quartus Prime 16.0 Win/Linux

Altera Quartus Prime 16.0 Win/Linux的图片1

Altera® Quartus® Prime发布最新16.0版本!

Altera® Quartus® Prime设计软件是一种多平台设计环境,在FPGA、CPLD和SoC设计的所有阶段都很容易满足您的具体需求。

革命性的 Quartus® Prime 设计软件包括了从设计输入和综合直至优化、验证和仿真各个阶段您设计 Altera® FPGA、SoC 和 CPLD所需的一切。具有数百万个逻辑单元的器件功能越来越强,为设计人员提供了理想的平台,帮助他们满足下一代设计需求。设计人员要能够高效的发挥这些器件的优势,就需要通过软件大幅度提高设计效能。新版 Quartus Prime 软件在成功的 Quartus II 软件基础上增加了 Spectra-Q™ 引擎,针对 Arria® 10 以及未来的器件进行了优化,FPGA 设计效能实现了突破。

Spectra-Q 引擎包括一组更快、扩展性更好的算法,以及新的分层基础数据库和统一编译器技术,提高了下一代可编程器件的设计效能。请访问 Spectra-Q 页面,详细了解 Spectra-Q 引擎是怎样支持新工具和设计流程的开发的。

根据您的设计需求,Quartus Prime 软件提供三种版本:专业版、标准版和精简版。

Quartus Prime 专业版 — Quartus Prime 专业版适合支持实现从 Arria 10 器件系列开始的 Altera 下一代 FPGA 和 SoC 先进的特性。

Quartus Prime 标准版 — Quartus Prime 标准版为 Altera 最新的器件系列提供最全面的支持,需要订购许可。

Quartus Prime 精简版 — Quartus Prime 精简版是 Altera 大批量器件系列理想的设计起点,可以免费下载,不需要许可。

了解 Quartus Prime 设计软件的 新增特性。如果希望对比每一版本的不同特性,请访问 特性 页面。请观看这一网播,详细了解怎样为您的设计选择合适的版本。或者点击 这里,下载软件,开始设计。

第三方 EDA 工具支持

Quartus Prime 软件在整个设计流程中都能够与前沿的 第三方EDA工具 衔接。您可以采用您已经熟悉的各种设计和验证流程,提高自己的效率。

大量的培训资源

不确定怎样开始使用 Quartus Prime 软件? Altera 提供多种 培训资源和资料,帮助您学习,解决您的问题。有很多在线视频演示、交互式教程,以及在线、由教师指导的、虚拟的课程,帮助您熟悉设计工具。请阅读入门手册,您可以从中找到相关主题的详细信息。

Altera Quartus Prime 16.0 | 54.5 Gb

Altera, now part of Intel, announced the production release of the new Quartus Prime Pro design software, which further accelerates FPGA design performance and design team productivity. The Quartus Prime Pro software is architected to support the next generation of high capacity, highly integrated FPGAs from Intel, which will drive innovation across the cloud, data center, Internet of Things, and the networks that connect them. The capabilities built into the latest software release accelerate large FPGA design flows by delivering unprecedented compile time improvements, versatile design entry methods and simplified intellectual property (IP) integration.

The Quartus Prime Pro software 16.0 delivers a design methodology that is optimized for large designs with more than one million logic elements. At the same time, users can leverage the software's incremental optimization feature to reduce design iterations and accelerate timing closure.

Quartus Prime Pro Software 16.0 highlights:

- Production release of Quartus Prime Pro Edition with hierarchical databases, targeting the next generation of high capacity, highly integrated FPGAs from Intel.

- Production release of BluePrint platform designer, which reduces design iterations by 10X by allowing designers to make pin assignments and clock planning early in their design.

- Qsys Pro system integration tool with a hierarchical framework to support multiple design entry formats and simplify IP integration.

- Incremental optimization support to reduce design iterations.

- Partial reconfiguration support for Arria 10 FPGAs & SoCs.

Included:

Windows:

QuartusSetup-16.0.0.211-windows.exe

QuartusProSetup-16.0.0.211-windows.exe

QuartusHelpSetup-16.0.0.211-windows.exe

QuartusProgrammerSetup-16.0.0.211-windows.exe

JNEyeSetup-16.0.0.211-windows.exe

DSPBuilderSetup-16.0.0.211-windows.exe

AOCLSetup-16.0.0.211-windows.exe

aocl-rte-16.0.0.211-windows.exe

aocl-rte-16.0.0-1.ppc64

aocl-rte-16.0.0-1.x86_64

aocl-rte-16.0.0-1.arm32

arria-16.0.0.211.qdz

arria10-16.0.0.211.qdz

arriav-16.0.0.211.qdz

arriavgz-16.0.0.211.qdz

cyclone-16.0.0.211.qdz

cyclonev-16.0.0.211.qdz

max-16.0.0.211.qdz

max10-16.0.0.211.qdz

stratixiv-16.0.0.211.qdz

stratixv-16.0.0.211.qdz

Linux

QuartusSetup-16.0.0.211-linux.run

QuartusProSetup-16.0.0.211-linux.run

QuartusHelpSetup-16.0.0.211-linux.run

QuartusProgrammerSetup-16.0.0.211-linux.run

SoCEDSSetup-16.0.0.211-linux.run

DSPBuilderSetup-16.0.0.211-linux.run

AOCLSetup-16.0.0.211-linux.run

aocl-rte-16.0.0.211-windows.exe

aocl-rte-16.0.0-1.ppc64.rpm

aocl-rte-16.0.0-1.x86_64.rpm

aocl-sdk-16.0.0-1.x86_64.rpm

aocl-rte-16.0.0-1.arm32.tgz

arria-16.0.0.211.qdz

arria10-16.0.0.211.qdz

arriav-16.0.0.211.qdz

arriavgz-16.0.0.211.qdz

cyclone-16.0.0.211.qdz

cyclonev-16.0.0.211.qdz

max-16.0.0.211.qdz

max10-16.0.0.211.qdz

stratixiv-16.0.0.211.qdz

stratixv-16.0.0.211.qdz

Additional information about the features in the Quartus Prime Pro design software version 16.0 can be found atwhat-s-new.html

Access to Altera's Expanding IP Portfolio

The Quartus Prime design software provides users access to an expanding selection of IP cores. These IP cores, in combination with numerous IP usability enhancements, help to improve designer productivity by simplifying IP evaluation, IP selection and hardware verification. New Ethernet, Hybrid Memory Cube memory and Video IP cores extend the comprehensive suite of high-performance, low-latency IP cores for industry-standard protocols.

About Altera Corporation

Altera Corporation is at the forefront of technology innovation, providing customers programmable solutions for leading-edge electronic systems that are shaping our modern world. Headquartered in Silicon Valley, California, Altera has been supplying the industry with access to the latest programmable logic, process technologies, IP cores and development tools for more than 30 years. Altera was founded in 1983 and employs more than 3,000 people in over 20 countries.

Name:

Altera Quartus Prime

Version:

16.0.0.211

Interface:

english

OS:

Windows / Linux

Size:

54.5 Gb