CG数据库 >> Learn SystemVerilog Assertions and Coverage Coding in-depth

Learn SystemVerilog Assertions and Coverage Coding in-depth的图片1

Learn SystemVerilog Assertions and Coverage Coding in-depth

5 Hours | Video: AVC (.MP4) 1280x720 30fps | Audio: AAC 44.1KHz 2ch | 745 MB

Genre: eLearning | Language: English

Become skilled in two key aspects of SystemVerilog used to ensure quality and completeness in all Verification jobs.

* Lectures 27

A course that will help you learn everything about System Verilog Assertions (SVA) and Functional coverage coding which forms the basis for the Assertion based and Coverage Driven Verification methodologies. These are the two key methodologies used most widely in all current SOC/chip designs to ensure quality and completeness.

Learn SystemVerilog Assertions and Coverage Coding in-depth的图片2

发布日期: 2015-06-25